diff --git a/skeletons/skeleton.c b/skeletons/skeleton.c index f513311..d38c46e 100644 --- a/skeletons/skeleton.c +++ b/skeletons/skeleton.c @@ -3,30 +3,14 @@ * * Long description * - * @copyright 2016 Steffen Vogel - * @license http://www.gnu.org/licenses/gpl.txt GNU Public License - * @author Steffen Vogel - * @link http://www.steffenvogel.de + * @copyright 2021, Steffen Vogel + * @license http://www.gnu.org/licenses/gpl.txt GNU Public License + * @author Steffen Vogel + * @link http://www.steffenvogel.de * @package * @category * @since */ -/* - * This file is part of [...] - * - * [...] is free software: you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation, either version 3 of the License, or - * any later version. - * - * [...] is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * You should have received a copy of the GNU General Public License - * along with [...]. If not, see . - ******************************************************************************/ #include diff --git a/skeletons/skeleton.php b/skeletons/skeleton.php index 357b351..470a6c1 100644 --- a/skeletons/skeleton.php +++ b/skeletons/skeleton.php @@ -4,30 +4,13 @@ * * Long description * - * @copyright 2016 Steffen Vogel - * @license http://www.gnu.org/licenses/gpl.txt GNU Public License - * @author Steffen Vogel - * @link http://www.steffenvogel.de + * @copyright 2021, Steffen Vogel + * @license http://www.gnu.org/licenses/gpl.txt GNU Public License + * @author Steffen Vogel + * @link https://www.steffenvogel.de * @package * @category * @since */ -/* - * This file is part of [...] - * - * [...] is free software: you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation, either version 3 of the License, or - * any later version. - * - * [...] is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * You should have received a copy of the GNU General Public License - * along with [...]. If not, see . - ******************************************************************************/ - ?> diff --git a/skeletons/skeleton.sh b/skeletons/skeleton.sh index 481a3bd..7540ad0 100644 --- a/skeletons/skeleton.sh +++ b/skeletons/skeleton.sh @@ -4,30 +4,12 @@ # # Long description # -# @copyright 2016 Steffen Vogel -# @license http://www.gnu.org/licenses/gpl.txt GNU Public License -# @author Steffen Vogel -# @link http://www.steffenvogel.de +# @copyright 2021, Steffen Vogel +# @license http://www.gnu.org/licenses/gpl.txt GNU Public License +# @author Steffen Vogel +# @link http://www.steffenvogel.de # @package # @category # @since # ################################################################################ -# -# This file is part of [...] -# -# [...] is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# any later version. -# -# [...] is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with [...]. If not, see . -################################################################################ - - diff --git a/skeletons/skeleton.vhd b/skeletons/skeleton.vhd index 23e7915..2a97003 100644 --- a/skeletons/skeleton.vhd +++ b/skeletons/skeleton.vhd @@ -2,31 +2,15 @@ -- -- Long description -- --- @copyright 2016 Steffen Vogel --- @license http://www.gnu.org/licenses/gpl.txt GNU Public License --- @author Steffen Vogel --- @link http://www.steffenvogel.de +-- @copyright 2021, Steffen Vogel +-- @license http://www.gnu.org/licenses/gpl.txt GNU Public License +-- @author Steffen Vogel +-- @link https://www.steffenvogel.de -- @package -- @category -- @since -- -------------------------------------------------------------------------------- --- --- This file is part of [...] --- --- [...] is free software: you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or --- any later version. --- --- [...] is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with [...]. If not, see . --------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; @@ -54,4 +38,4 @@ end entity; architecture rtl of name is begin -end architecture; \ No newline at end of file +end architecture;