diff --git a/skeleton/skeleton.sh b/skeleton/skeleton.sh deleted file mode 100644 index e72db30..0000000 --- a/skeleton/skeleton.sh +++ /dev/null @@ -1,32 +0,0 @@ -#!/bin/bash -## - # Short description - # - # Long description - # - # @copyright 2013 Steffen Vogel - # @license http://www.gnu.org/licenses/gpl.txt GNU Public License - # @author Steffen Vogel - # @link http://www.steffenvogel.de - # @package - # @category - # @since - ## -## - # This file is part of [...] - # - # [...] is free software: you can redistribute it and/or modify - # it under the terms of the GNU General Public License as published by - # the Free Software Foundation, either version 3 of the License, or - # any later version. - # - # [...] is distributed in the hope that it will be useful, - # but WITHOUT ANY WARRANTY; without even the implied warranty of - # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - # GNU General Public License for more details. - # - # You should have received a copy of the GNU General Public License - # along with [...]. If not, see . - ## - - diff --git a/skeleton/COPYING.gpl3 b/skeletons/COPYING.gpl3 similarity index 100% rename from skeleton/COPYING.gpl3 rename to skeletons/COPYING.gpl3 diff --git a/skeleton/Makefile b/skeletons/Makefile similarity index 100% rename from skeleton/Makefile rename to skeletons/Makefile diff --git a/skeleton/skeleton.c b/skeletons/skeleton.c similarity index 89% rename from skeleton/skeleton.c rename to skeletons/skeleton.c index dc9680c..f513311 100644 --- a/skeleton/skeleton.c +++ b/skeletons/skeleton.c @@ -3,7 +3,7 @@ * * Long description * - * @copyright 2013 Steffen Vogel + * @copyright 2016 Steffen Vogel * @license http://www.gnu.org/licenses/gpl.txt GNU Public License * @author Steffen Vogel * @link http://www.steffenvogel.de @@ -26,7 +26,7 @@ * * You should have received a copy of the GNU General Public License * along with [...]. If not, see . - */ + ******************************************************************************/ #include diff --git a/skeleton/skeleton.php b/skeletons/skeleton.php similarity index 88% rename from skeleton/skeleton.php rename to skeletons/skeleton.php index 9f42de7..357b351 100644 --- a/skeleton/skeleton.php +++ b/skeletons/skeleton.php @@ -4,7 +4,7 @@ * * Long description * - * @copyright 2013 Steffen Vogel + * @copyright 2016 Steffen Vogel * @license http://www.gnu.org/licenses/gpl.txt GNU Public License * @author Steffen Vogel * @link http://www.steffenvogel.de @@ -27,7 +27,7 @@ * * You should have received a copy of the GNU General Public License * along with [...]. If not, see . - */ + ******************************************************************************/ ?> diff --git a/skeletons/skeleton.sh b/skeletons/skeleton.sh new file mode 100644 index 0000000..481a3bd --- /dev/null +++ b/skeletons/skeleton.sh @@ -0,0 +1,33 @@ +#!/bin/bash +# +# Short description +# +# Long description +# +# @copyright 2016 Steffen Vogel +# @license http://www.gnu.org/licenses/gpl.txt GNU Public License +# @author Steffen Vogel +# @link http://www.steffenvogel.de +# @package +# @category +# @since +# +################################################################################ +# +# This file is part of [...] +# +# [...] is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# any later version. +# +# [...] is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with [...]. If not, see . +################################################################################ + + diff --git a/skeletons/skeleton.vhd b/skeletons/skeleton.vhd new file mode 100644 index 0000000..23e7915 --- /dev/null +++ b/skeletons/skeleton.vhd @@ -0,0 +1,57 @@ +-- Short description +-- +-- Long description +-- +-- @copyright 2016 Steffen Vogel +-- @license http://www.gnu.org/licenses/gpl.txt GNU Public License +-- @author Steffen Vogel +-- @link http://www.steffenvogel.de +-- @package +-- @category +-- @since +-- +-------------------------------------------------------------------------------- +-- +-- This file is part of [...] +-- +-- [...] is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- any later version. +-- +-- [...] is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with [...]. If not, see . +-------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + use ieee.std_logic_textio.all; + use ieee.math_real.all; + +library std; + use std.textio.all; + +entity name is + generic ( + + ); + port ( + clk : in std_logic; + reset : in std_logic; + + input : in std_logic_vector(7 downto 0); + output : out std_logic_vector(7 downto 0) + ) +end entity; + + +architecture rtl of name is +begin + +end architecture; \ No newline at end of file