yosys/manual/PRESENTATION_Prog/absval_ref.v

4 lines
97 B
Verilog

module absval_ref(input signed [3:0] a, output [3:0] y);
assign y = a[3] ? -a : a;
endmodule