update skeletons

This commit is contained in:
Steffen Vogel 2020-12-31 12:36:37 +01:00
parent e235b1824f
commit 94cd7efbed
4 changed files with 17 additions and 84 deletions

View file

@ -3,30 +3,14 @@
*
* Long description
*
* @copyright 2016 Steffen Vogel
* @license http://www.gnu.org/licenses/gpl.txt GNU Public License
* @author Steffen Vogel <post@steffenvogel.de>
* @link http://www.steffenvogel.de
* @copyright 2021, Steffen Vogel
* @license http://www.gnu.org/licenses/gpl.txt GNU Public License
* @author Steffen Vogel <post@steffenvogel.de>
* @link http://www.steffenvogel.de
* @package
* @category
* @since
*/
/*
* This file is part of [...]
*
* [...] is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* any later version.
*
* [...] is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with [...]. If not, see <http://www.gnu.org/licenses/>.
******************************************************************************/
#include <stdio.h>

View file

@ -4,30 +4,13 @@
*
* Long description
*
* @copyright 2016 Steffen Vogel
* @license http://www.gnu.org/licenses/gpl.txt GNU Public License
* @author Steffen Vogel <post@steffenvogel.de>
* @link http://www.steffenvogel.de
* @copyright 2021, Steffen Vogel
* @license http://www.gnu.org/licenses/gpl.txt GNU Public License
* @author Steffen Vogel <post@steffenvogel.de>
* @link https://www.steffenvogel.de
* @package
* @category
* @since
*/
/*
* This file is part of [...]
*
* [...] is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* any later version.
*
* [...] is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with [...]. If not, see <http://www.gnu.org/licenses/>.
******************************************************************************/
?>

View file

@ -4,30 +4,12 @@
#
# Long description
#
# @copyright 2016 Steffen Vogel
# @license http://www.gnu.org/licenses/gpl.txt GNU Public License
# @author Steffen Vogel <post@steffenvogel.de>
# @link http://www.steffenvogel.de
# @copyright 2021, Steffen Vogel
# @license http://www.gnu.org/licenses/gpl.txt GNU Public License
# @author Steffen Vogel <post@steffenvogel.de>
# @link http://www.steffenvogel.de
# @package
# @category
# @since
#
################################################################################
#
# This file is part of [...]
#
# [...] is free software: you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by
# the Free Software Foundation, either version 3 of the License, or
# any later version.
#
# [...] is distributed in the hope that it will be useful,
# but WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
# GNU General Public License for more details.
#
# You should have received a copy of the GNU General Public License
# along with [...]. If not, see <http://www.gnu.org/licenses/>.
################################################################################

View file

@ -2,31 +2,15 @@
--
-- Long description
--
-- @copyright 2016 Steffen Vogel
-- @license http://www.gnu.org/licenses/gpl.txt GNU Public License
-- @author Steffen Vogel <post@steffenvogel.de>
-- @link http://www.steffenvogel.de
-- @copyright 2021, Steffen Vogel
-- @license http://www.gnu.org/licenses/gpl.txt GNU Public License
-- @author Steffen Vogel <post@steffenvogel.de>
-- @link https://www.steffenvogel.de
-- @package
-- @category
-- @since
--
--------------------------------------------------------------------------------
--
-- This file is part of [...]
--
-- [...] is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- [...] is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with [...]. If not, see <http://www.gnu.org/licenses/>.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
@ -54,4 +38,4 @@ end entity;
architecture rtl of name is
begin
end architecture;
end architecture;