yosys/manual/PRESENTATION_Prog/sigmap_test.v

4 lines
66 B
Verilog

module test(input a, output x, y);
assign x = a, y = a;
endmodule