yosys/manual/PRESENTATION_Prog/sigmap_test.v

4 lines
66 B
Coq
Raw Normal View History

2014-06-22 10:50:29 +00:00
module test(input a, output x, y);
assign x = a, y = a;
endmodule